imec

imec

Research Services

Imec is a world-leading R&D and innovation hub in nanoelectronics and digital technologies.

About us

At imec, we shape the future. How? By enabling nano- and digital technology innovation with a significant impact on the quality of life. With our partners from companies, governments and academia. And supported by the three pillars of our R&D: - a unique infrastructure that includes a 2.5-billion-euro 300mm semiconductor pilot line - more than 5,500 expert scientists from over 96 countries - an ecosystem of more than 600 world-leading industry partners and a global academic network Founded in 1984, imec quickly made its name as the leading research hub for advanced CMOS scaling. We will continue to shape the roadmap for the semiconductor industry for years to come. On top of that, we leverage this deep-tech knowhow. And we combine it with software and system knowledge to build up an exceptional portfolio of advanced technologies. The combination of these technologies opens the door to smart, sustainable solutions. These span domains such as healthcare, clean energy and Industry 4.0. Want to join us in shaping the future? Take a look at our research and development offerings, our support services for start-ups, or find out more about working at imec at www.imec-int.com.

Website
http://www.imec-int.com
Industry
Research Services
Company size
5,001-10,000 employees
Headquarters
Leuven
Type
Nonprofit
Founded
1984
Specialties
R&D, nanoelectronics, life sciences, photovoltaics, wireless communications, data science, digital technologies, internet of things, wearables, flexible electronics, data security and privacy for IoT, prototyping and low-volume production, and advanced imaging systems

Locations

Employees at imec

Updates

  • View organization page for imec, graphic

    117,004 followers

    🛰️ Attend our On-chip Spectral Imaging Workshop on August 5 at the 38th Annual Small Satellite Conference in Logan, USA, to see how on-chip, integrated spectral imaging makes hyperspectral imaging accessible. At imec, we're integrating spectral filters CMOS imagers, paving the way for innovative earth observation solutions. From small to large area sensors, our tech supports customizable multi- and hyperspectral imaging. Register to our workshop and learn more: https://ow.ly/INBY50SOkv2

    • No alternative text description for this image
  • View organization page for imec, graphic

    117,004 followers

    Accelerate your innovation with imec.IC-link 🚀 Discover all the benefits of custom chips, with an expert team guiding you through the process.    Swipe through to see why imec.IC-link is the trusted partner for over 600 tape-outs a year.   #ASIC #semiconductor #ICdesign #ICtesting #semiconductormanufacturing

    View organization page for imec.IC-link, graphic

    2,414 followers

    What can imec.IC-link do for you? In short: help you bring your ASIC to life. Our wide offering ranges: ✅ from turnkey to flexible services ✅ from 50 dies to thousands of wafers ✅ from 1 μm to 3 nm ✅ from the Americas to Europe to Asia Get in touch to learn why we're the trusted partner for over 600 tape-outs a year: https://ow.ly/ibMo50SFXbn #Semiconductors #ASIC #imecIClink #automotive

  • View organization page for imec, graphic

    117,004 followers

    At ITF Taiwan 2024, imec will showcase key achievements and initiatives aimed at driving the semiconductor industry forward. In conjunction with #SEMICONTaiwan, get an update on pressing challenges, research breakthroughs, and industry roadmaps to accelerate semiconductor innovation and further drive the AI revolution. Imec is celebrating 40 years of semiconductor innovation fueling AI, so get ready for a festive edition. Learn more and register: https://ow.ly/M0Vr50SL6zv #ITFTaiwan #SEMI SEMI Taiwan #ITF2024 #imec40years

    • No alternative text description for this image
  • View organization page for imec, graphic

    117,004 followers

    Imec was represented at BIO Asia-Taiwan this week by Paru Deshpande (VP R&D, Life Sciences) who gave presentations on how technology is transforming healthcare, from early discovery to therapeutic intervention, highlighting the impact of semiconductor advancements on life science applications and medical devices. The event highlighted Taiwan's innovation in biotech and medtech, showcasing the latest advances in therapeutic, diagnostic, and medical equipment sectors within Asia's dynamic biomedical ecosystems. Learn more: https://ow.ly/fa4a50SKYp6

    • No alternative text description for this image
    • No alternative text description for this image
  • View organization page for imec, graphic

    117,004 followers

    Press release - imec announces the demonstration of high-quality 300mm-Si-based quantum dot spin qubit processing with devices resulting in a statistically relevant, average charge noise of 0.6µeV/√Hz at 1Hz. By demonstrating these values, repeatedly and reproducibly, this work makes large-scale quantum computers based on Si quantum dots a realistic possibility Read the full PR: https://ow.ly/bwJ450SIRRI #quantum #innovation #qubits

    Imec achieves record-low charge noise for Si MOS quantum dots fabricated on a 300mm CMOS platform  - imec

    Imec achieves record-low charge noise for Si MOS quantum dots fabricated on a 300mm CMOS platform - imec

    imec-int.com

  • View organization page for imec, graphic

    117,004 followers

    Imec and ASML have officially opened their joint High NA EUV Lithography Lab, marking a significant milestone in the journey toward advanced semiconductor manufacturing. This state-of-the-art facility will accelerate the adoption of High NA EUV lithography, enabling the production of next-generation chips with unprecedented precision. 🔍 Key Highlights: First Prototype: The lab features the first prototype High NA (0.55NA) EUV scanner, set to enhance the patterning of 14nm logic chips and DRAM memory chips. Single Exposure Efficiency: High NA EUV technology allows for the patterning of complex structures in a single exposure, significantly improving yield and reducing cycle times. Sustainability Impact: Transitioning to High NA EUV could lead to a 30% reduction in CO2 emissions compared to traditional multi-patterning methods. These innovative leaps are expected to pave the way for mass production by 2025-2026, redefining the semiconductor landscape! Learn the latest about these and other groundbreaking developments in High NA EUV: https://lnkd.in/dcRQK5zH #Semiconductors #EUVLithography #Innovation #Sustainability #imec #ASML

    Entering the High NA EUV Lithography era

    Entering the High NA EUV Lithography era

    imec-int.com

  • imec reposted this

    View organization page for imec the Netherlands, graphic

    7,951 followers

    Chris Van Hoof, Vice President R&D at imec and General Manager of OnePlanet Research Center, has been recognized as one of the 2024 Top 20 Individual Leaders in Intelligent and Connected Devices. This prestigious honor, presented by MistyWest, SOLIDWORKS, and Memfault, highlights individuals who are driving significant technological advancements in product development, contributing to the UN's Sustainable Development Goals. We extend our heartfelt congratulations to Chris Van Hoof and the other winners for their outstanding achievements and dedication to creating a more sustainable future. 🏆🌠

    View organization page for MistyWest, graphic

    6,080 followers

    MistyWest, SOLIDWORKS and Memfault are pleased to present to you the 2024 Top 20 Individual Leaders in Intelligent and Connected Devices 🏆 We extend a huge congratulations to this year's winners, who are each making huge technological leaps in product development to advance the UN's Sustainable Development Goals 🌠 See you at #TheMisties after party 🥂

    • No alternative text description for this image
  • View organization page for imec, graphic

    117,004 followers

    Discover the power of ECiD. ECiD, or Electrochemically induced Deposition, is a versatile technique that allows for fabricating a wide range of materials. Extending the scope of electroplating, ECiD triggers precipitation or sol-gel reactions through localized changes in the chemical environment near the electrode surface. Applications of ECiD are vast. Think advanced coatings and electrochemical devices, particularly sensors. But the real game-changer? Energy storage and conversion. ECiD can deposit Silica (#SiO2) and Lithium phosphates (#LiPON). These materials are crucial for energy storage in batteries and supercapacitors and energy conversion by thermo- and electro-catalysis. The versatility of ECiD allows for creating complex nanostructured electrode materials with improved performance. Discover more about imec's energy innovations and read the ECiD papers. Link in the comments below

    • No alternative text description for this image
  • View organization page for imec, graphic

    117,004 followers

    ITF Semicon USA brought together almost 400 industry experts. Focusing on fast-tracking semiconductor technologies to bolster the AI revolution, we covered new system architectures, flexible platform integration, and sustainability, while emphasizing the need for collaboration in the fast-evolving tech landscape. We look forward to seeing everyone again next year in Phoenix! #SSTS #ITFUSA2024 #ITFusa #imec40years #SemiconWest

    • No alternative text description for this image
    • No alternative text description for this image
    • No alternative text description for this image
    • No alternative text description for this image
    • No alternative text description for this image
  • View organization page for imec, graphic

    117,004 followers

    "Don't be afraid to speak up and to network with other people in the industry. There are a lot of inspiring women and men who can help you and mentor you along the way." Isabelle Ferain, Vice President at GlobalFoundries, shared her personal experience and gave some inspiring advise to fellow women in tech during a SHEroes fireside chat with Katrien Marent, imec EVP and CMO, at #ITFSemiconUSA2024 Imec introduced its "SHEroes” initiative at its flagship event ITF World in May. This initiative highlights the achievements of women in the semiconductor industry. With the imec tech SHEroes, we want to acknowledge women at different stages of their careers, celebrating their contributions, and sharing their insights and experiences. Ultimately, we want to stimulate many more women to step into the exciting world of the semiconductor industry.

    • No alternative text description for this image
    • No alternative text description for this image

Affiliated pages

Similar pages

Browse jobs